From 0d676282ec1ed351f0c1a1d1b861f1acb1449454 Mon Sep 17 00:00:00 2001 From: Matthew Butterick Date: Tue, 17 May 2016 16:37:29 -0700 Subject: [PATCH] halfadder --- beautiful-racket/br/demo/hdl/HalfAdder.hdl.rkt | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100644 beautiful-racket/br/demo/hdl/HalfAdder.hdl.rkt diff --git a/beautiful-racket/br/demo/hdl/HalfAdder.hdl.rkt b/beautiful-racket/br/demo/hdl/HalfAdder.hdl.rkt new file mode 100644 index 0000000..349550d --- /dev/null +++ b/beautiful-racket/br/demo/hdl/HalfAdder.hdl.rkt @@ -0,0 +1,11 @@ +#lang br/demo/hdl + +CHIP HalfAdder { + IN a, b; // 1-bit inputs + OUT sum, // Right bit of a + b + carry; // Left bit of a + b + + PARTS: + Xor(a=a, b=b, out=sum); + And(a=a, b=b, out=carry); +}